Verilog

Verilog
ParadigmaStrukturované programování
AutorPhil Moorby, Prahbu Goel
První oznámení1984
Poslední verzeIEEE 1364-2005
Typová kontrolastatická, slabá
Ovlivněn jazykyPascal, Ada, C, Fortran
Ovlivnil jazykySystemVerilog, Verilog-AMS
Přípona souboru.v, .vh

Verilog je jazyk pro popis hardware (HDL), slouží pro modelování a návrh elektronických systémů. Jazyk (někdy nazývaný Verilog HDL) podporuje design, verifikaci a realizaci analogových, digitálních a smíšených signálových obvodů s různou úrovní abstrakce.

Návrháři Verilogu chtěli jazyk se syntaxí podobnou syntaxi programovacího jazyka C, poněvadž byl široce známý a kladně přijímaný. Jazyk má preprocesor jako C a hlavní klíčová slova (if/else, while aj.). Mechanismus formátování a priorita operátorů je taktéž podobná.

Jazyk se liší v některých základních bodech. Způsob definice konstant je rovněž rozdílný - je zapotřebí při jejich deklaraci přidat šířku v bitech. Verilog nemá složené datové typy (struktury), ukazatele ani rekurzivní podprogramy.


From Wikipedia, the free encyclopedia · View on Wikipedia

Developed by Nelliwinne